用VHDL语言编写16-4编码器,谢谢!!

编写的好的有分加···大大滴哦··
最好给出真值表!!
用VHDL语言编写16-4优先编码器,在线等····有加分··

第1个回答  2020-06-15
module adder16(In,Y);
input [15:0] In;
output reg[3:0]Y;
always @(In)
begin
if(~In[15]) Y='b1111;
else if(~In[14]) Y='b1110;
else if(~In[13]) Y='b1101;
else if(~In[12]) Y='b1100;
else if(~In[11]) Y='b1011;
else if(~In[10]) Y='b1010;
else if(~In[9]) Y='b1001;
else if(~In[8]) Y='b1000;
else if(~In[7]) Y='b0111;
else if(~In[6]) Y='b0110;
else if(~In[5]) Y='b0101;
else if(~In[4]) Y='b0100;
else if(~In[3]) Y='b0011;
else if(~In[2]) Y='b0010;
else if(~In[1]) Y='b0001;
else Y='b0000;
end
endmodule本回答被网友采纳
相似回答