55问答网
所有问题
求一个用VHDL语言编写的程序满足:当按键按下时开始4-16译码,松开开始2-4编码
如题所述
举报该问题
推荐答案 2012-06-11
1.按键按下是个条件,
2.是切换
3. 两个译码模块
温馨提示:答案为网友推荐,仅供参考
当前网址:
http://55.wendadaohang.com/zd/ceee8QcQL.html
其他回答
第1个回答 2012-06-11
一楼正解
相似回答
分别用IF语句、CASE语句设计
一个四-十六译码
器。写出
VHDL源程序
!
答:
entity encoder4_16 is port ( d: in STD_LOGIC_VECTOR (3downto0);q: out STD_LOGIC_VECTOR (15downto0));end encoder4_16;architecture encoder_if of encoder4_16 is begin process(d)begin if d="0000" then q<=(0=>'1',others=>'0');elsifd="0001" then q<=(1=>'1',...
2-4译码
器的
VHDL
描述
答:
1.端口是bit类型,无需声明IEEE库和IEEE.STD_LOGIC.1164程序包。2.
2-4译码
器不是优先级结构,应当用case语句描述。3.字符串文字应当使用双引号。4.信号赋值号是“<=”,而不是“:<=”。ENTITY decoder24 IS PORT(s1,s2:IN bit;m:OUT bit_vector(3 downto 0));END;ARCHITECTURE be OF dec...
哪位大哥教教小弟怎么
用vhdl语言编写4
输入
十六
输出
的译码
器!谢谢了!
答:
你就把4个输入 0000--1111 就是16个状态了 每个状态规定相应的端口输出就是了
vhdl
键盘
程序,
帮忙看
一下
答:
tmp<=tmp1;END PROCESS p2;--以下是译码电路 p3:PROCESS(tmp)BEGIN CASE tmp IS WHEN "0001" => led<="0110000";WHEN "0010" => led<="1011011";WHEN "0011" => led<="1001111";WHEN "0100" => led<="1100110";WHEN "0101" => led<="1101101";WHEN "0110" => led<="1111101...
用VHDL语言编写
自动售货机
程序
答:
自动售货机
VHDL程序
(1)自动售货机VHDL程序如下:--文件名:pl_auto1.vhd。--功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。--说明:显示的钱数coin的以5角为单位。library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all...
大家正在搜
用高级程序设计语言编写的程序
高级程序语言编写的程序称为
高级程序语言的编译程序属于什么
使用高级语言编写的程序为
汇编语言是一种什么程序设计语言
以下程序设计语言是低级语言的是
用高级语言编写的程序
高级语言的编译程序属于
c语言编写的程序被称为
相关问题
用VHDL实现4-16译码器
求用VHDL语言编写一个数字跑表程序
单片机C语言程序设计实训100例:基于8051+Proteu...
基于VHDL语言的自动打铃数字钟设计
用VHDL语言设计一个共阴极七段数码管的译码电路,急求大神解...
跪求,用VHDL语言编写3
vhdl语言实现cmi编码译码