VHDL程序设计中,用WITH_SELECT_WHEN语句描述4个16位至1个16位输出的4选1多路选择器

如题所述

第1个回答  2022-12-11

1、首先第一步就是把4个寄存器的输出送到某一组输出线上,可使用四选一多路选择器,也可使用三态门。用四选一实现和用三态门实现。

2、然后就是进行程序的编写, If-then语句4选1选择器(P92)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 ISPORT ( a,b,c,d: IN std_logic;s:

INstd_logic_vector(1 downto 0);y : OUT std_logic );END ENTITY mux41;ARCHITECTURE one OF mux41 ISBEGINPROCESS (a,b,c,d,s)BEGIN。

3、接着就是双2选1选择器(P92)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK ISPORT ( a1, a2, a3, s0, s1 : IN std_logic;outy : OUT std_logic )。

ENTITY MUXK;ARCHITECTURE one OF MUXK ISsignal tmp: std_logic;BEGIN。


4、最后就是进行CASE语句中各子句的条件不能有重叠,这时候注意的是必须包容全部的条件;WITH_SECLECT语句也不允许选取值有重叠现象,接着还有的就是CASE语句只可以在进程中用,注意是至少包含1个条件语句,然后可以有多个赋值目标。

相似回答