55问答网
所有问题
用VHDL语言,编写一个16*16点阵显示自己的名子的程序,急需,谢谢各位高手帮忙!
显示的方式与花样尽量多一点!
举报该问题
其他回答
第1个回答 2011-12-01
不会是老谢的课吧??
第2个回答 2011-12-07
09电信??
相似回答
LCD(16×
16点阵
)
显示
设计
答:
是的,液晶可以分为LED和LCD,LCD最常见的小屏幕是TFT,字符型和点阵型也是区别,字符型的可以直接输出字符,一般是内带字库。点阵型的可以画图
,自己
定义点。1602是个经典的液晶,可以
显示16*
2个ASCII码或者日文的片假名(谁叫是日本人出的呢)。5*7是每一个字符是横向5个点纵向7个点。我用的是5...
基于
vhdl语言
设计
一个
数字钟
高手
帮
帮忙
啊
答:
variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数beginif clr='1' then---当ckr为1时,高低位均为0cnt1:="0000";cnt0:="0000";elsif clk'event and clk='1' thenif cnt1="0101" and cnt0="1000" then---当记数为58(实际是经过59个记时脉冲)co<='1';---进位cnt0:="1001";...
VHDL高手帮忙
分析
一个程序
急
!!!
答:
FOR i IN Z RANGE LOOP 具体范围,格式也不对 Q <= q + 1;X_falg <= FALSE 内部变量才能用:= end if少一个 Q := q × 2 不能用乘法运算
VHDL
不分大小写.换变量名 你说一下你想写个什么东西
求
高手帮忙用vhdl编一个
2,4,8
,16
分频
程序
答:
这是对时钟进行10分频的
VHDL
代码,2,4,8
,16
分频原理与其相同。entity clk_div is port (clk_in :in std_logic;clk_out:out std_logic);end clk_div;architecture Behavioral of clk_div is signal cnt:integer range 1 to 10;signal clk_temp:std_logic:='0';begin process (clk_in,cnt)...
VHDL
程序
解释,识别器,分频器等,帮我程序后注释下
,高手
帮
帮忙
~急~!
答:
判决器的功能相当于一个比较器。当巴克码识别器的输出大于等于自动门限的输出时,就输出一个“1”脉冲,否则就输出“0”脉冲。判决器的
VHDL源程序
为:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity panjueqi is port(a : in std_logic_vector(2 downto 0);...
大家正在搜
用高级语言编写的程序
汇编语言是一种什么程序设计语言
16×16点阵显示
16乘16点阵显示原理
16*16点阵
点阵显示
点阵显示原理
8×8点阵显示图案
点阵显示数字
相关问题
如何用VHDL语言编写一个16*16的点阵显示电路
如何用VHDL语言编写一个点阵显示电路
用VHDL设计16*16点阵控制程序
LCD(16×16点阵)显示设计
用VHDL实现16*16点阵的显示 在用quartus ii...
用VHDL语言编写16位拨码开关控制数码管显示程序,跪求FO...
VHDL语言设计实现大屏幕LED点阵板的动态显示的控制程序,...