在Quartus 2里面有D触发器吗?

如题所述

在Quartus 2里面是有D触发器的。

寻找方法:选择insert symbol->primitives->storage->dff,就能找到。

Quartus II 是Altera公司的综合性CPLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

扩展资料:

Quartus II的相关要求规定:

1、Quartus II可以在Windows、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

2、Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

3、Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

参考资料来源:百度百科-Quartus II

温馨提示:答案为网友推荐,仅供参考
第1个回答  推荐于2017-09-20
  在Quartus 2里面有D触发器
  选择insert symbol->primitives->storage->dff就是了;
  D触发器(data flip-flop或delay flip-flop。)该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP 高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP 触发沿来到前一瞬间加入输入信号。这样,输入端受干扰的时间大大缩短,受干扰的可能性就降低了。边沿D触发器也称为维持-阻塞边沿D触发器。
第2个回答  2011-10-17
有,你直接调用就可以了,megawizard里的storage里面的LPM_ff,就是的追问

能不能给说说每一个针脚的含义?谢谢

本回答被提问者采纳
相似回答