如何用最简单的方法将74LS161设计为一个8进制计数器!

如题!! 越简单越好 最好不用其他集成块

可以通过同步直接清零法。 考虑8=1000,将Q3通过非门后接到同步置位端/SR上即可。P0~P3都接0. 这样,每次从7到8时瞬间被置为0,即完成0->7->0的循环,是一个8进制计数器。 其他的使能管脚我就不赘述了。 祝你成功。
希望采纳
温馨提示:答案为网友推荐,仅供参考
相似回答