数字电路:如果想设计一个组合与或电路来将一个4bit的二进制码转换为4bit格雷码,我们需要至少多少个或门?

如题所述

这是标准电路,采用异或门,异或门是标准的组合逻辑门:74LS86。

非得用与或门就把异或门转换成与或表达式:

A⊕B = AB' + A'B

麻烦。

温馨提示:答案为网友推荐,仅供参考
第1个回答  2022-08-15

8421 码转换到格雷码,需要用“异或门”来实现。

用四个“与非门”,可以做成一个“异或门”。

用“或门”,是做不到的。

代换电路如下:

相似回答