4线-16线译码器的真值表

如题所述

74LS154, 4-16译码器

真值表

温馨提示:答案为网友推荐,仅供参考
第1个回答  2019-11-22

由两片74LS138做成的4-16线译码器,原来很简单,你看看表就清楚了