怎样产生PWM波形

如题所述

1、推荐用单片机程序就可以轻松的实现,特别是有些单片机就有PWM模块,直接输入数据就能得到你想要的脉冲宽度的PWM波形。
2、也可以用比较器来做,一端输入三角波,另一端输入直流电平,改变直流值就可以输出不同脉冲宽度的PWM波形。
温馨提示:答案为网友推荐,仅供参考
第1个回答  2019-08-31
一些常见的pwm波形以及它们的实现方式:
(1)使用通用定时器timer1/2/3/4产生pwm
选择连续计数模式可以产生如下图所示的非对称pwm波形
选择连续增/减计数模式可以产生中心或对称pwm波形,如下图所示
(2)使用比较单元compare1/2/3/4/5/6来产生pwm波形
同样,采用连续增计数模式可以产生一对带有死区的互补的非对称pwm波形
采用连续增/减计数模式可以产生一对带有死区的互补的对称pwm波形
第2个回答  2019-06-15
我的PLC自带的输出口都是继电器型的,不知道能不能用扩展模块输出PWM波形,肯定不行,能做高速脉冲输出的必须是晶体管类型输出的、特定的PLC上的点,
相似回答