什么是优先编码器?

如题所述

优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码。常用的集成优先编码器IC有10线-4线、8线-3线两种。10线-4线优先编码器常见的型号为54/74147、54/74LS147,8线-3线优先编码器常见的型号为54/74148、54/74LS148。 

        下面我们以TTL中规模集成电路74LS147为例介绍8421→BCD码优先编码器的功能。

    10线-4线8421 BCD码优先编码器74LS147的真值表见表3.5。74LS147的引脚图如图3.5所示,其中第9脚NC为空。74LS147优先编码器有9个输入端和4个输出端。某个输入端为0,代表输入某一个十进制数。当9个输入端全为1时,代表输入的是十进制数0。4个输出端反映输入十进制数的BCD码编码输出。

    74LS147优先编码器的输入端和输出端都是低电平有效,即当某一个输入端低电平0时,4个输出端就以低电平0的输出其对应的8421 BCD编码。当9个输入全为1时,4个输入出也全为1,代表输入十进制数0的8421 BCD编码输出。

温馨提示:答案为网友推荐,仅供参考
相似回答