55问答网
所有问题
电子电路设计(EDA)(高分悬赏,求高手帮帮忙,要详细解题步骤,很急很急!)
用multism软件仿真如下电路,分析:
1、 静态工作点
2、 交流放大倍数
(要求:提交原始电路图文件(*.msm10文件)、计算好的工作点参数和交流放大倍数)
举报该问题
其他回答
第1个回答 2013-05-15
共集电极偏置电路,求ICQ,IBQ,VCEQ,还没有放大倍数,没什么难的呀
相似回答
求EDA
用VHDL语言的程序
设计,急急急!
给
高分!(
要求完成一个具有异步复位和...
答:
use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity shicount is port(clk,reset,enable: in std_logic;a,b,c,d,e,f,g: out std_logic;tp : out std_logic_vector(0 to 3);xian: out std_logic_vector(0 to 6);count :out ...
求助
电路设计高手,高分悬赏
~
!!!
答:
用三个9014就可以了每个大概5毛钱,第一个、二个集电极接到正极电源;第三个集电极接到灯泡(发光二极管的负极)上,发光二极管另一端(正极)接到电源上;第一个发射极接到第二个的基极,第二个发射极接到第三个基极,第三个发射极接到电源的负极!第一个基极引出来就是个触摸开关了。
电子电路
题,电路如图所示
,求
U
答:
设R4,R5,R6上的电流分别为I1,I2,I3;对
电路
列KVL、KCL方程:3I1-12-3+6I2=0 6I2-10+4I3-3=0 I2=I1+I3 解得:I3=1/2A U=10-I3*R6=8V
EDA
出租车计费器 求大神
帮忙
谢谢了
很急
啊
!!!
答:
EDA
出租车计费器 求大神帮忙 谢谢了 很急啊
!!!
20 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示总金额,最大值为99.9元。起步价为5.0元,3公里之内按起步价计费,超过3公里,每公里... 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费...
...
电路设计
一个七进制加法计数器
,要解题
的
详细步骤,
拜谢了
答:
以下为详细分解:①②
步骤
比较直观状态图如下。计数器需要3个JK触发器,标记为JK1/JK2/JK3.步骤③卡诺图化简以J2为例,其他的值类似,J2的卡诺图为:也即J2=BC=Q1Q0,所以简单的与门即可实现。步骤④的
电路
原理图为:步骤⑤的仿真验证计数器的输出为:(LED输出0~6并重复)视频演示了仿真结果...
大家正在搜
电子电路基本电路详解
电子电路
电子电路怎么看
电子电路原理
电子电路入门
模拟电子电路
电子电路学
电子电路图
电子电路板
相关问题
急,高分悬赏。 我有几道《统计学原理》的题不会做,求高手帮忙...
请设计实验,要求步骤规范,高分悬赏,请速答!!!!!很急!!...
急!急!急!高分悬赏,请高手帮我解题:(3-x)/(1+ax...
初中简单数题,求高手来帮忙解答!高分悬赏!在线等!!急急急急...
很急的高分悬赏~~!!C语程序设计实践!!!!!很重要,希望...
高分悬赏,请高手帮忙翻译成英语,要求准确,急急!
急!!!高手来帮帮忙,高分悬赏。
我电脑左下角的这些图标没有了,求高手帮忙,急急急急急急急急急...