请问普通4线-2线编码器的真值表为啥是这样的?是规定的还是怎么算的?

如题所述

第1个回答  2022-09-28

对,这是规定的,而且还有个优先编码条件,如 I3=1 时,可完全不考虑其他三个参数情况,而直接得到 Y0Y1=11 状态输出;

Y1和Y0通过0,1组合来表示4种状态,是00,01,10,11

一个2-4译码器,只需要6个引脚,加上VCC,GND,是8个脚,就是说,要生产一个2-4译码器,要做成8个引脚封装的集成电路,关键是也要用一块晶片的,加上数字集成电路都不做成8脚封装的,最小是14脚封装。

扩展资料:

优先编码器可以排列连接在一起,组成更大规模的编码器,如6个4线-2线优先编码器可以组成1个16线-4线编码器,其中信号源作为4个编码器的输入,前4个编码器的输入作为2个编码器的输入。

优先编码器相比简单编码器电路有更强的处理能力,因为其能处理所有的输入组合情况。

例如,一位4线-2线编码器输入4位,输出2位。当同时有超过一个输入线有效时,下例的编码器可能会错误地表现出优先编码器的行为。

参考资料来源:百度百科-优先编码器

相似回答