edc技术的几道问题,急

、EDA技术主要应用在哪些领域,请举例说明(最好结合自己的实际工作)
二、写出三人表决电路的真值表并由真值表写出输出、输入信号的逻辑关系,在Quartus II环境下,画出用与非门来实现此电路的原理图。
三、上机熟悉Quartus II原理图输入法中工具箱各按钮的功能,学会使用Quartus II 的帮助文件。
四、利用Quartus II软件,使用原理图输入法调用两片二进制计数器74161,设计一个8位二进制计数器,并仿真验证设计结果(给出仿真波形图)。
五、应用 lpm_counter宏函数设计一个六十进制加法计数器,并仿真验证设计结果(给出仿真波形图)。
六、用VHDL语言实现第五题的功能。
七、用VHDL语言设计一个4选1多路选择器(多路选择器是指从多个输入信号中选择一个某一个信号作为输出信号)
八、下面的VHDL程序完成什么功能?
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;

ENTITY CH4_13 IS
PORT(clk: IN std_logic;
Tone: in std_logic_vectoe(10 downto 0);
SpkS: out STD_LOGIC);
END CH4_13;
ARCHITECTURE a OF CH4_13 IS
SIGNAL Fullclk:STD_LOGIC;
BEGIN
GenSpkS:PROCESS(clk,Tone)
VARIABLE count11: STD_LOGIC_VECTOR(10 DOWNTO 0);
BEGIN
IF (clk='1' and clk'event) THEN
IF Count11=16#7ff# then Count11:=Tone;Fullclk<='1';
ELSE Count11:=Count11+1;Fullclk<='0';
end if;
end if;
end process;
DalaySpkS:process(Fullclk)
VARIABLE Count2: STD_LOGIC;
BEGIN
IF (Fullclk='1' and Fullclk'event) THEN
Count2:= NOT Count2;
if Count2='1' then SpkS<='1';
else SpkS<='0'
end if;

第1个回答  2009-06-09
你给的问题难度太大。还是自己下去看看书自己慢慢的搞定吧。
相似回答